日韩一区二区三区精品,欧美疯狂xxxxbbbb牲交,热99re久久免费视精品频,人妻互换 综合,欧美激情肉欲高潮视频

定時(shí)器的框圖中有一個(gè)TRGO信號(hào)輸出,此信號(hào)可以用來觸發(fā)ADC、DAC、其他定時(shí)器等。

此信號(hào)怎么產(chǎn)生呢?


要使用 TIM_SelectOutputTrigger(TIM1, TIM_TRGOSource_Update); 函數(shù)來選擇TRGO信號(hào)的事件源,這個(gè)函數(shù)給TIMx_CR2寄存器的位6到位4賦值,位6到位4是MMS[2:0],描述如下:

如果MMS[2:0]值為000:當(dāng)TIMx_EGR寄存器的UG位有效,就會(huì)觸發(fā)TRGO輸出。

如果MMS[2:0]值為010:當(dāng)產(chǎn)生更新事件時(shí),就會(huì)觸發(fā)TRGO輸出。


另外:


當(dāng)配置MMS[2:0]值為010:當(dāng)產(chǎn)生更新事件時(shí),就會(huì)觸發(fā)TRGO輸出。還可以配合重復(fù)計(jì)數(shù)寄存器來使用:

即重復(fù)多少次后才會(huì)產(chǎn)生一個(gè)更新事件。比如以前是1S產(chǎn)生一個(gè)更新事件,當(dāng)把RCR的值配置為3時(shí),就會(huì)變成3秒產(chǎn)生一個(gè)更新事件。配置方法如下:


    TIM_TimeBaseStructure.TIM_Period = PWM_PERIOD;                 //計(jì)數(shù)周期

    TIM_TimeBaseStructure.TIM_Prescaler = PWM_PRSC;                //分頻系數(shù)

    TIM_TimeBaseStructure.TIM_ClockDivision = TIM_CKD_DIV2;        //設(shè)置外部時(shí)鐘TIM1ETR的濾波時(shí)間

    TIM_TimeBaseStructure.TIM_CounterMode = TIM_CounterMode_CenterAligned1;  //中央對(duì)齊模式1,從0計(jì)數(shù)到 TIM_Period 然后開始減到0,循環(huán)

TIM_TimeBaseStructure.TIM_RepetitionCounter = REP_RATE;        //重復(fù)計(jì)數(shù),就是重復(fù)溢出多少次才產(chǎn)生一個(gè)溢出中斷(產(chǎn)生更新事件,用來觸發(fā)ADC采樣)

    TIM_TimeBaseInit(TIM1, &TIM_TimeBaseStructure);

關(guān)鍵字:STM32  定時(shí)器  TRGO信號(hào) 引用地址:STM32定時(shí)器的TRGO信號(hào)

上一篇:stm32定時(shí)器的ETR、CH1N、CH2N、CH3N
下一篇:使用stm32的ADC得到準(zhǔn)確的電壓

推薦閱讀

做了9年硬件電路設(shè)計(jì),5年智能手機(jī),4年醫(yī)療器械,看到這個(gè)問題,心情很復(fù)雜,自己喜愛的工作居然無奈的發(fā)展到了這個(gè)窘境。當(dāng)初選擇硬件是因?yàn)椴幌肴諒?fù)一日的坐在屏幕前面敲代碼到天亮,硬件電路設(shè)計(jì)工作中的元素要豐富一些,各種儀器,各種元器件,實(shí)驗(yàn)室,工廠,電腦前面畫圖坐個(gè)臺(tái),供應(yīng)商來了再接個(gè)客,個(gè)人覺的要比枯燥寫代碼有趣很多。當(dāng)時(shí)硬件還是...
三星開發(fā)出15.6英寸超高清(UHD分辨率)OLED顯示屏  1月23日上午消息,三星今日宣布成功開發(fā)出15.6英寸超高清(UHD分辨率)OLED顯示屏,預(yù)計(jì)二月份量產(chǎn)?! ?shí)際上,OLED屏幕在筆記本領(lǐng)域算不上新的技術(shù),不過更多的是在13寸產(chǎn)品,比如Alienware 13,三星可以說是首個(gè)開發(fā)15.6英寸超高清OLED面板的企業(yè),且顯示屏標(biāo)準(zhǔn)達(dá)到了美國(guó)視頻電子標(biāo)準(zhǔn)協(xié)會(huì)(VESA...
1.函數(shù)名單詞之間用_隔開,每一個(gè)字母大寫 Uart_Printf() //這個(gè)由三星的TEST風(fēng)格延續(xù)下來,因此沒有參數(shù)時(shí),必須加void,否則ADS會(huì)編譯報(bào)警 void Test_Keyscan(void); 2.寄存器名前要帶小寫的r。表示寄存器,大寫名稱要與DataSheet標(biāo)的名一致..其寬度要根據(jù)硬件有效位來定義,其中必須帶volatile關(guān)鍵字 有效位大于16位 #define rGPMCON...
1月22日晚間,據(jù)兆易創(chuàng)新、晶方科技、安集科技發(fā)布公告顯示,三家公司股東國(guó)家集成電路產(chǎn)業(yè)投資基金股份有限公司(以下簡(jiǎn)稱“大基金”)都將減持公司股份,且減持比例均不超過2%。兆易創(chuàng)新公告表示,截止本公告披露日,國(guó)家集成電路產(chǎn)業(yè)投資基金股份有限公司(以下簡(jiǎn)稱“大基金”)持有北京兆易創(chuàng)新科技股份有限公司(以下簡(jiǎn)稱“公司”)股票34,495,966股...

史海拾趣

問答坊 | AI 解惑

一種嵌入式FLASH文件系統(tǒng)的設(shè)計(jì)和實(shí)現(xiàn)

一種嵌入式FLASH文件系統(tǒng)的設(shè)計(jì)和實(shí)現(xiàn)…

查看全部問答∨

請(qǐng)教一個(gè)Verilog實(shí)現(xiàn)VGA的問題

新手請(qǐng)教verilog實(shí)現(xiàn)VGA顯示移動(dòng)小球的問題:使用ALTER公司的QuartusII軟件開發(fā),小弟現(xiàn)在想要實(shí)現(xiàn)一個(gè)用字模實(shí)現(xiàn)的小球,在屏幕上斜線移動(dòng)的問題,但球會(huì)逐行顯示又逐行消失,若只橫向移動(dòng),則能一直顯示,希望大家不吝賜教,部分代碼如下: modu ...…

查看全部問答∨

關(guān)于CE6.0內(nèi)核啟動(dòng)問題

我最近在研究?jī)?nèi)核的啟動(dòng)過程,正在看代碼,請(qǐng)問一下,鏡像是在什么時(shí)候被拷貝到內(nèi)存中的啊?怎么沒有發(fā)現(xiàn)類似的代碼? 我看到MAINSTONEIII 的bootloader代碼里OALStartup.s中有copy bootloader image to RAM的代碼,我覺得內(nèi)核也應(yīng)該會(huì)有類似的代 ...…

查看全部問答∨

串口600通訊正常高于600通訊亂碼

如題:   我的板子是at89s52 晶振是11。0592 max232cpe通訊   通訊方式11位   怎樣在9600下正常通訊,小弟是初學(xué)者,多謝各位…

查看全部問答∨

世界500強(qiáng)高薪急聘嵌入式軟件項(xiàng)目經(jīng)理

符合以下大多數(shù)條件即可(薪水十分不錯(cuò),美資企業(yè),工作地點(diǎn):深圳) 聯(lián)系方式:msn:chenhuanwanted@hotmail.com Essential Duties & Responsibilities: * Administration and management of the SW development team, which includes hiring, ...…

查看全部問答∨

編譯通過,但MODESIM仿真不出波形

編譯都是通過的,但是用modelsim前仿卻沒有輸出波形。 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;    entity decoder_4_16 is  port(a1,b1,c1,d1,g2a1,g2b1:i ...…

查看全部問答∨

FPGA設(shè)計(jì)基礎(chǔ)----D觸發(fā)器

一個(gè)使能端為E的D觸發(fā)器:工作原理是:當(dāng)E=0時(shí):控制門被封鎖,觸發(fā)器保持原態(tài)不變。Qn+1=Qn當(dāng)E=1時(shí):控制門開啟,Qn+1=D(E=1時(shí)),那么上電的時(shí)候輸出Q為什么狀態(tài)呢? 也就是說Qn是什么狀態(tài)呢?   Q是輸出為0。…

查看全部問答∨

Altium Designer09完整教程

Altium Designer09完整教程…

查看全部問答∨
小廣播
設(shè)計(jì)資源 培訓(xùn) 開發(fā)板 精華推薦

最新單片機(jī)文章

 
EEWorld訂閱號(hào)

 
EEWorld服務(wù)號(hào)

 
汽車開發(fā)圈

 
機(jī)器人開發(fā)圈

電子工程世界版權(quán)所有 京ICP證060456號(hào) 京ICP備10001474號(hào)-1 電信業(yè)務(wù)審批[2006]字第258號(hào)函 京公網(wǎng)安備 11010802033920號(hào) Copyright ? 2005-2025 EEWORLD.com.cn, Inc. All rights reserved