定時(shí)器的框圖中有一個(gè)TRGO信號(hào)輸出,此信號(hào)可以用來觸發(fā)ADC、DAC、其他定時(shí)器等。
此信號(hào)怎么產(chǎn)生呢?
要使用 TIM_SelectOutputTrigger(TIM1, TIM_TRGOSource_Update); 函數(shù)來選擇TRGO信號(hào)的事件源,這個(gè)函數(shù)給TIMx_CR2寄存器的位6到位4賦值,位6到位4是MMS[2:0],描述如下:
如果MMS[2:0]值為000:當(dāng)TIMx_EGR寄存器的UG位有效,就會(huì)觸發(fā)TRGO輸出。
如果MMS[2:0]值為010:當(dāng)產(chǎn)生更新事件時(shí),就會(huì)觸發(fā)TRGO輸出。
另外:
當(dāng)配置MMS[2:0]值為010:當(dāng)產(chǎn)生更新事件時(shí),就會(huì)觸發(fā)TRGO輸出。還可以配合重復(fù)計(jì)數(shù)寄存器來使用:
即重復(fù)多少次后才會(huì)產(chǎn)生一個(gè)更新事件。比如以前是1S產(chǎn)生一個(gè)更新事件,當(dāng)把RCR的值配置為3時(shí),就會(huì)變成3秒產(chǎn)生一個(gè)更新事件。配置方法如下:
TIM_TimeBaseStructure.TIM_Period = PWM_PERIOD; //計(jì)數(shù)周期
TIM_TimeBaseStructure.TIM_Prescaler = PWM_PRSC; //分頻系數(shù)
TIM_TimeBaseStructure.TIM_ClockDivision = TIM_CKD_DIV2; //設(shè)置外部時(shí)鐘TIM1ETR的濾波時(shí)間
TIM_TimeBaseStructure.TIM_CounterMode = TIM_CounterMode_CenterAligned1; //中央對(duì)齊模式1,從0計(jì)數(shù)到 TIM_Period 然后開始減到0,循環(huán)
TIM_TimeBaseStructure.TIM_RepetitionCounter = REP_RATE; //重復(fù)計(jì)數(shù),就是重復(fù)溢出多少次才產(chǎn)生一個(gè)溢出中斷(產(chǎn)生更新事件,用來觸發(fā)ADC采樣)
TIM_TimeBaseInit(TIM1, &TIM_TimeBaseStructure);
上一篇:stm32定時(shí)器的ETR、CH1N、CH2N、CH3N
下一篇:使用stm32的ADC得到準(zhǔn)確的電壓
推薦閱讀
史海拾趣
隨著全球市場(chǎng)的開放和融合,Coil-Q意識(shí)到國(guó)際化是公司發(fā)展的必然趨勢(shì)。于是,公司開始積極布局海外市場(chǎng),先后在多個(gè)國(guó)家和地區(qū)設(shè)立了分公司或辦事處。通過深入了解當(dāng)?shù)厥袌?chǎng)需求和文化習(xí)慣,Coil-Q成功打開了多個(gè)新的市場(chǎng),實(shí)現(xiàn)了業(yè)務(wù)的快速增長(zhǎng)。
DEMMELPRODUCTS公司自創(chuàng)立之初,就堅(jiān)持以技術(shù)創(chuàng)新為核心競(jìng)爭(zhēng)力。在公司的早期階段,研發(fā)團(tuán)隊(duì)攻克了一項(xiàng)關(guān)鍵技術(shù)難題,成功開發(fā)出一款具有高性價(jià)比和高可靠性的新型電子元件。這款產(chǎn)品憑借其卓越的性能,迅速在市場(chǎng)上脫穎而出,贏得了客戶的廣泛認(rèn)可。隨著技術(shù)的不斷迭代和升級(jí),DEMMELPRODUCTS公司逐漸在電子行業(yè)中樹立了技術(shù)領(lǐng)先的形象。
APX Technologies在成立初期就專注于研發(fā)高性能的半導(dǎo)體芯片。經(jīng)過數(shù)年的努力,公司成功開發(fā)出一種具有革命性的低功耗芯片技術(shù),這一技術(shù)極大地提高了電子設(shè)備的能效比。隨著這一技術(shù)的廣泛應(yīng)用,APX Technologies迅速在電子行業(yè)中嶄露頭角,贏得了眾多客戶的青睞。
APX Technologies在成立初期就專注于研發(fā)高性能的半導(dǎo)體芯片。經(jīng)過數(shù)年的努力,公司成功開發(fā)出一種具有革命性的低功耗芯片技術(shù),這一技術(shù)極大地提高了電子設(shè)備的能效比。隨著這一技術(shù)的廣泛應(yīng)用,APX Technologies迅速在電子行業(yè)中嶄露頭角,贏得了眾多客戶的青睞。
振華深知企業(yè)文化和員工激勵(lì)對(duì)于企業(yè)發(fā)展的重要性。因此,公司注重企業(yè)文化的建設(shè)和傳承,形成了具有振華特色的企業(yè)文化體系。同時(shí),公司還采取多種措施激勵(lì)員工積極投入工作,提高員工的工作積極性和創(chuàng)造力。通過員工培訓(xùn)和晉升機(jī)制等制度的完善和實(shí)施,振華不僅吸引了大量?jī)?yōu)秀人才加入企業(yè),還為企業(yè)的發(fā)展提供了堅(jiān)實(shí)的人才保障。
一種嵌入式FLASH文件系統(tǒng)的設(shè)計(jì)和實(shí)現(xiàn) 一種嵌入式FLASH文件系統(tǒng)的設(shè)計(jì)和實(shí)現(xiàn)… 查看全部問答∨ |
請(qǐng)教一個(gè)Verilog實(shí)現(xiàn)VGA的問題 新手請(qǐng)教verilog實(shí)現(xiàn)VGA顯示移動(dòng)小球的問題:使用ALTER公司的QuartusII軟件開發(fā),小弟現(xiàn)在想要實(shí)現(xiàn)一個(gè)用字模實(shí)現(xiàn)的小球,在屏幕上斜線移動(dòng)的問題,但球會(huì)逐行顯示又逐行消失,若只橫向移動(dòng),則能一直顯示,希望大家不吝賜教,部分代碼如下: modu ...… 查看全部問答∨ |
關(guān)于CE6.0內(nèi)核啟動(dòng)問題 我最近在研究?jī)?nèi)核的啟動(dòng)過程,正在看代碼,請(qǐng)問一下,鏡像是在什么時(shí)候被拷貝到內(nèi)存中的啊?怎么沒有發(fā)現(xiàn)類似的代碼? 我看到MAINSTONEIII 的bootloader代碼里OALStartup.s中有copy bootloader image to RAM的代碼,我覺得內(nèi)核也應(yīng)該會(huì)有類似的代 ...… 查看全部問答∨ |
|
如題: 我的板子是at89s52 晶振是11。0592 max232cpe通訊 通訊方式11位 怎樣在9600下正常通訊,小弟是初學(xué)者,多謝各位… 查看全部問答∨ |
|
世界500強(qiáng)高薪急聘嵌入式軟件項(xiàng)目經(jīng)理 符合以下大多數(shù)條件即可(薪水十分不錯(cuò),美資企業(yè),工作地點(diǎn):深圳) 聯(lián)系方式:msn:chenhuanwanted@hotmail.com Essential Duties & Responsibilities: * Administration and management of the SW development team, which includes hiring, ...… 查看全部問答∨ |
編譯都是通過的,但是用modelsim前仿卻沒有輸出波形。 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all; entity decoder_4_16 is port(a1,b1,c1,d1,g2a1,g2b1:i ...… 查看全部問答∨ |
FPGA設(shè)計(jì)基礎(chǔ)----D觸發(fā)器 一個(gè)使能端為E的D觸發(fā)器:工作原理是:當(dāng)E=0時(shí):控制門被封鎖,觸發(fā)器保持原態(tài)不變。Qn+1=Qn當(dāng)E=1時(shí):控制門開啟,Qn+1=D(E=1時(shí)),那么上電的時(shí)候輸出Q為什么狀態(tài)呢? 也就是說Qn是什么狀態(tài)呢? Q是輸出為0。… 查看全部問答∨ |
設(shè)計(jì)資源 培訓(xùn) 開發(fā)板 精華推薦
- 如何計(jì)算單片機(jī)的波特率
- STM32單片機(jī)優(yōu)先級(jí)的定義
- 如何采用STM32單片機(jī)實(shí)現(xiàn)IAP功能
- STM32單片機(jī)對(duì)NAND Flash的讀寫以及在ASF中的使用
- STM32單片機(jī)SysTick系統(tǒng)滴答功能解析
- STM32單片機(jī)定時(shí)器的時(shí)鐘源設(shè)置
- STM32單片機(jī)PID算法的原則及應(yīng)用方法
- 如何采用STM32單片機(jī)中斷向量控制器NVIC來分配優(yōu)先級(jí)的數(shù)量
- STM32單片機(jī)固件庫建立工程的方法及步驟
- 中國(guó)研究人員發(fā)明全新動(dòng)作好奇心算法 增強(qiáng)不確定環(huán)境下的自主導(dǎo)航
- 技術(shù)解析|沃爾沃XC70超級(jí)混動(dòng)有什么亮點(diǎn)?
- 小米汽車:磁吸物理按鍵已接入米家,可通過APP操控
- 專家專論 | 顧劍民:L2級(jí)輔助駕駛激光雷達(dá)“價(jià)格歸零”
- 近一個(gè)月投資五家!京東密集落子具身智能機(jī)器人賽道
- 海外動(dòng)力電池裝車量TOP10:3家落榜 一新上榜中企暴增逾10倍
- 吉利汽車:全域AI守護(hù)輔助駕駛安全
- 松下汽車系統(tǒng)與VicOne深化合作 共拓新一代智能座艙系統(tǒng)安全創(chuàng)新
- 汽車MCU的“芯”浪潮
- 具身智能如何大規(guī)模推廣?丨具身智能機(jī)器人高質(zhì)量發(fā)展
- 艾睿電子線上研討會(huì):英特爾FPGA深度學(xué)習(xí)加速技術(shù) 7月30日上午10:00-11:30 期待您的蒞臨!
- 有獎(jiǎng)直播|TI Matter 解決方案助力智能家居創(chuàng)新
- ADI電磁流量計(jì)解決方案
- 系列二:TI模擬芯片選型指南(參與過系列一活動(dòng)的也可以參加這期喲)
- 美光新春來集福 京東E卡等你來抽
- ublox無線開發(fā)板EVK-NINA-B400免費(fèi)申請(qǐng)
- 了解PI最新產(chǎn)品,評(píng)論、下載搶樓好禮送!
- Microchip 直播|時(shí)間同步的必要性及其解決方案
- 看專題答題贏好禮!PI 穩(wěn)定可靠的TOPSwitch-JX開關(guān)電源IC
- 相約秋色~相約北京~恩智浦工業(yè)和物聯(lián)網(wǎng)技術(shù)峰會(huì) 約起來!
- 德國(guó)研發(fā)從熱水中提取鋰的工藝 用于制造電動(dòng)汽車電池材料
- 基于ARM支持實(shí)現(xiàn)MCU的高級(jí)視頻圖形功能
- 恩智浦ARM微控制器的以太網(wǎng)吞吐量三種不同測(cè)量方案的介紹
- 美國(guó)研發(fā)軟件工具 幫助設(shè)計(jì)性能更佳的鋰金屬電池
- LPC4350的功能特性和應(yīng)用分析
- 智能門鎖作為智能家居中一種智能硬件何以成為行業(yè)新寵
- 專注市場(chǎng)需求變化 霍尼韋爾再添除醛除菌空氣凈化器新品
- Polycom攜全新行業(yè)解決方案亮相第75屆中國(guó)教育裝備展示會(huì)
- 網(wǎng)紅JEET藍(lán)牙耳機(jī)上新僅4個(gè)月,雙十一爆售京東前三
- 智能音箱深受英國(guó)兒童的歡迎
- ds1302讀取只有三個(gè)能顯示正確,怎么回事,實(shí)在檢查不出問題所在
- ADC的轉(zhuǎn)換方法和主要參數(shù)
- 求助,想通過一個(gè)AD轉(zhuǎn)換,然后進(jìn)行中斷
- 移動(dòng)網(wǎng)絡(luò)的動(dòng)態(tài)檢測(cè)
- 關(guān)于DSP用CCS仿真和接收脈沖信號(hào)的程序
- 常用運(yùn)算放大器型號(hào)簡(jiǎn)介
- 如何測(cè)量有風(fēng)和無風(fēng)
- 亂學(xué)一、使用ST最新庫建立MDK項(xiàng)目
- 手操器電路原理圖+C源程序
- 汽車半導(dǎo)體行業(yè)2012年或?qū)⒒緦?shí)現(xiàn)復(fù)蘇